[coreboot] r3164 - trunk/coreboot-v2/targets/tyan/s2891

svn at coreboot.org svn at coreboot.org
Mon Mar 17 18:06:06 CET 2008


Author: ward
Date: 2008-03-17 18:06:06 +0100 (Mon, 17 Mar 2008)
New Revision: 3164

Modified:
   trunk/coreboot-v2/targets/tyan/s2891/Config.lb
Log:

The ATI vga rom is only 36K on the Tyan s2891, not 48K.

This is a trivial patch.

Signed-off-by: Ward Vandewege <ward at gnu.org>
Acked-by: Ward Vandewege <ward at gnu.org>



Modified: trunk/coreboot-v2/targets/tyan/s2891/Config.lb
===================================================================
--- trunk/coreboot-v2/targets/tyan/s2891/Config.lb	2008-03-17 13:43:48 UTC (rev 3163)
+++ trunk/coreboot-v2/targets/tyan/s2891/Config.lb	2008-03-17 17:06:06 UTC (rev 3164)
@@ -7,12 +7,12 @@
 
 # Tyan s2891
 romimage "normal"
-#       48K for ATI ROM in 1M
-	option ROM_SIZE = 1024*1024-48*1024
-#       48K for SCSI FW or ATI ROM
+#       36K for ATI ROM in 1M
+	option ROM_SIZE = 1024*1024-36*1024
+#       48K for SCSI FW
 #        option ROM_SIZE = 512*1024-48*1024
-#       48K for SCSI FW and 48K for ATI ROM
-#       option ROM_SIZE = 512*1024-48*1024-48*1024
+#       48K for SCSI FW and 36K for ATI ROM
+#       option ROM_SIZE = 512*1024-48*1024-36*1024
 #       64K for Etherboot
 #        option ROM_SIZE = 512*1024-64*1024
 	option USE_FALLBACK_IMAGE=0





More information about the coreboot mailing list